Cum se configurează smartphone-uri și PC-uri. Portal informativ
  • Acasă
  • Fier
  • Decodoare din seria TTL. Circuite integrate de tip combinație O metodă de creștere a numărului de ieșiri de decodor

Decodoare din seria TTL. Circuite integrate de tip combinație O metodă de creștere a numărului de ieșiri de decodor

Am considerat un decodor cu o singură etapă (liniar) - este cel mai rapid, dar implementarea sa cu o lățime semnificativă a cuvântului de intrare este dificilă, deoarece necesită utilizarea elementelor logice cu un număr mare de intrări, care este însoțită de o sarcină mare. pe sursele de semnal de intrare. De obicei, decodoarele cu o singură etapă sunt realizate pentru un număr mic de intrări, determinat de capacitățile elementelor din seria aplicată de microcircuite. Prin urmare, adesea numărul de pini decodor nu este suficient pentru a selecta numărul necesar de dispozitive cu tehnologie microprocesor. Folosind două decodore cu intrare de activare E, este posibil să se implementeze un decodor cu numărul de ieșiri N = 2 n+1 (Fig. 2.11.3).

Orez. 2.11.3. Decodor 3x8 bazat pe două decodore 2x4

Pe fig. 2.11.3 prezintă o diagramă a unui decodor combinat 3x8 implementat pe două decodoare complete 2x4. Astfel, este posibil să se creeze un decodor 4x16 din 2 decodor 3x8 etc. Intrarea de activare E este utilizată ca bit de adresă. Când E = 0, decodorul superior funcționează, când E = 1, decodorul inferior funcționează, în timp ce toate ieșirile decodorului superior sunt 0.

Metoda în cascadă (piramidală) de construire a decodoarelor cu un număr mare de ieșiri pe microcircuite decodor cu un număr mai mic de ieșiri este, de asemenea, utilizată pe scară largă (Fig. 2.11.4).

Pentru a permite funcționarea unuia dintre decodoarele 3x8 (DC2, DC3, DC4, DC5), un semnal de activare sau dezactivare este furnizat la intrarea E a fiecărui decodor de la decodorul DC1 (prima treaptă), care este controlat de biții de adresă A3 , A4.


Orez. 2.11.4. Schema de includere în cascadă (piramidală) a decodoarelor

Biții de adresă A0, A1, A2 sunt alimentați în paralel cu decodoarele etapei a 2-a. Numărul total de biți de adresă a crescut cu 2 biți.

Codificatoare. Codificatoarele sunt dispozitive concepute pentru a converti un cod unitar în binar. La ieșirea codificatorului, apare un cod binar pe mai mulți biți corespunzător numărului zecimal al intrării căreia i se aplică nivelul logic activ. Codificatoarele binare efectuează operarea inversă a decodoarelor.

Codificatorul este uneori numit „coder” (din engleză codificator) și este folosit, de exemplu, pentru a converti numerele zecimale tastate pe tastatura unui panou de control cu ​​apăsare în numere binare. Dacă numărul de intrări este atât de mare încât codificatorul utilizează toate combinațiile posibile de semnale de ieșire, atunci un astfel de encoder se numește complet. Numărul de intrări și ieșiri dintr-un encoder complet este legat de relația N = 2 n , unde N este numărul de intrări, n este numărul de ieșiri. Deci, pentru a converti codul tastaturii într-un număr binar de patru cifre, este suficient să folosiți doar 10 intrări, în timp ce numărul total de intrări posibile va fi de 16 (n = 2 4 = 16), astfel încât codificatorul 10x4 va fi incomplet .

Luați în considerare un exemplu de construcție a unui codificator pentru conversia unui cod de unitate pe zece biți (numerele zecimale de la 0 la 9) într-un cod binar. În acest caz, se presupune că semnalul corespunzător unei unități logice este aplicat la o singură intrare la un moment dat.

Tabelul de adevăr pentru codificator este prezentat în Tabelul 2.11.3.

Folosind acest tabel, scriem expresii logice pentru variabilele de ieșire, incluzând în suma logică acele variabile de intrare care corespund unității variabilei de ieșire corespunzătoare.

Tabel de adevăr pentru decodor

Tabelul 2.11.3.

Intrări iesirile
X0 X1 X2 X3 X4 X5 X6 X7 X8 X9 A3 A2 A1 A0

Să scriem ecuațiile logice pentru variabilele de ieșire A0, A1, A2, A3:

A0 = X1 v X3 v X5 v X7 v X9

A1 = X2 v X3 v X6 v X7

A2 = X4 v X5 v X6 v X7

Pentru un astfel de encoder, este ușor să construiți un circuit pe elemente logice „SAU” (Fig. 2.11.5).

Orez. 2.11.5. Schema unui encoder incomplet 10x4

Instrucțiuni metodologice pentru efectuarea lucrărilor:

Notați în raport, ca de obicei, numele lucrării, scopul lucrării. Dați definiția unui decodor. Faceți un tabel de adevăr pentru un decodor care are 3 intrări de adresă. Notați ecuațiile pentru fiecare dintre cele 8 ieșiri ale decodorului. Construiți o diagramă. Construiți un circuit care implementează funcțiile decodorului în Multisim. Explorează munca ei.

Explorați funcționarea cipului de decodor 2x4. Asamblați circuitul decodor prezentat în fig. 2.11.4 folosind doar decodoare 2x4.
Obțineți diagrame de timp ale funcționării circuitului. Pentru a afișa toate semnalele de intrare și de ieșire ale decodorului, utilizați 2 analizoare.

Desenați o diagramă și explicați cum funcționează în raport. Vă rugăm să furnizați diagrame de timp. Diagramele de timp trebuie să fie afișate pe o pagină; diagramele legate de timp nu pot fi continuate pe o altă pagină. Toate conexiunile dintre semnale ar trebui să fie clare.

Faceți un tabel de adevăr pentru codificatorul complet 8x3. Scrieți funcțiile logice ale variabilelor de ieșire. Construiți și cercetați circuitul scrambler. În raport, furnizați un tabel de adevăr, ecuații, o diagramă construită în funcție de ecuații, diagrame de timp.

Notează concluziile corespunzătoare fiecărui element al lucrării efectuate.

Întrebări de pregătit pentru raport:

1. Definiți un decodor.

2. Definiți un scrambler.

3. Ce se înțelege prin cod unitar?

4. Care este diferența dintre un decodor complet și unul incomplet?

5. Care este diferența dintre un encoder complet și unul incomplet?

6. Care este diferența dintre un decodor liniar și unul piramidal?

7. Un decodor liniar sau un decodor piramidal are mai multă viteză?

8. Sunt necesare mai multe costuri hardware pentru a implementa un decodor liniar sau piramidal?

9. De ce sunt folosite decodorele și codificatoarele în calcul?

12. Lucrare de laborator nr 12

Cercetare multiplexoare si demultiplexoare

Obiectiv: Să studieze principiile de sinteză și funcționare a multiplexoarelor și demultiplexoarelor.

Sarcina: Sintetizați circuitul multiplexor, investigați funcționarea circuitului. Explorați cipul multiplexor, construiți și investigați funcționarea circuitului piramidal. Sintetizați circuitul demultiplexorului, investigați funcționarea circuitului. Explorați funcționarea în comun a multiplexorului și a demultiplexorului.

Introducere teoretică

Multiplexor se numește un dispozitiv logic combinațional conceput pentru transmiterea controlată a datelor din mai multe surse de informații într-un canal de ieșire. Intrările multiplexorului sunt împărțite în informații D 0 , D 1 , ...... și control (adresă) DAR 0 , DAR 1 , …, DAR n-1.

Codul aplicat intrărilor de adresă determină care dintre intrările de informații este transferată în prezent către ieșirea circuitului. În măsura în care n-bit codul binar poate lua 2 n valori, atunci dacă numărul de intrări de adrese ale multiplexorului este n, numărul intrărilor sale de informații trebuie să fie egal cu 2 n .

Să construim un tabel de adevăr care afișează funcționarea unui multiplexor cu două intrări de adrese bazate pe definiție. Să notăm în tabel A0 și A1 - intrări de adresă. D0, D1, D2, D3 - intrări a 4 fluxuri de date, la setarea adresei, datele corespunzătoare vor fi transmise către o singură ieșire a multiplexorului Y (tabelul 2.12.1).

Tabelul arată astfel:

Tabelul 2.12. unu

Adresa Date Ieșire
A1 A0 D0 D1 D2 D3 Y
D0 D1 D2 D3 D0
D0 D1 D2 D3 D1
D0 D1 D2 D3 D2
D0 D1 D2 D3 D3

Să scriem ecuația pentru funcția Y:

Y = A1*A0*D0 v A1*A0 D1 v A1 A0*D2 v A1 A0 D3.

Circuitul care implementează funcția Y poate fi construit pe 2 invertoare, 4 elemente „ȘI” cu trei intrări și un element „SAU” cu patru intrări (Fig. 12.2.1).

Orez. 12.2.1. 4-1 circuit multiplexor

Este posibil să asamblați un decodor pentru a implementa același circuit și să îl utilizați pentru a comuta intrările la ieșirea Y (Fig. 2.12.2).

Orez. 2.12.2. Circuitul multiplexor și simbolul acestuia

În cazurile în care funcționalitatea CI-urilor multiplexor nu mulțumește dezvoltatorii în ceea ce privește numărul de intrări de informații, aceștia recurg la cascada acestora pentru a crește numărul de intrări la valoarea cerută. Cea mai universală modalitate de a mări dimensiunea unui multiplexor este construirea unei structuri piramidale formată din mai multe multiplexor. În acest caz, primul nivel al circuitului este o coloană care conține atât de multe multiplexoare cât este necesar pentru a obține numărul necesar de intrări de informații. Toate multiplexoarele din această coloană sunt comutate de același cod de adresă, compus din numărul corespunzător de cifre cel mai puțin semnificative ale codului de adresă comun. Cifrele superioare ale codului de adresă sunt utilizate în al doilea nivel, multiplexorul căruia asigură funcționarea alternativă a multiplexoarelor de prim nivel la o ieșire comună. Circuitul în cascadă al multiplexorului „16-1”, construit pe multiplexoarele „4-1”, este prezentat în fig. 2.12.3.

Orez. 2.12.3. Multiplexor în cascadă 16-1

O aplicație tipică a unui multiplexor este transmiterea de informații din mai multe surse (senzori) de informații separate spațial la intrarea unui receptor.

Să presupunem că temperatura ambientală este măsurată în mai multe încăperi și că rezultatele acestor măsurători trebuie introduse într-un singur dispozitiv de înregistrare, cum ar fi un computer. În același timp, deoarece temperatura se schimbă lent, nu este necesar să o măsurați în mod constant pentru a obține o precizie suficientă. Este suficient să aveți informații la anumite intervale fixe.

Multiplexorul îndeplinește funcția de a conecta diverse surse de informații la un receptor la o comandă dată.

Multiplexorul poate fi folosit ca element logic universal pentru a implementa orice funcție logică din numărul de argumente egal cu numărul de intrări de adresă ale multiplexorului. Să arătăm acest lucru pe exemplul unei funcții logice dată de un tabel de adevăr (Tabelul 2.12.2).

Tabelul 2.12.2

A2 A1 A0 Y A2 A1 A0 Y

Circuitul care implementează această funcție este prezentat în fig. 2.12.4.

Orez. 2.12.4. Implementarea unui circuit combinațional folosind un multiplexor

Demultiplexor- acesta este un circuit combinațional cu o intrare de informații (D), n intrări de control (adresă) (A0, A1, ..., An-1) și N = 2 n ieșiri (Y0, Y1, ..., YN- 1). Codul binar care ajunge la intrările de adresă determină una dintre cele N ieșiri, la care se transmite valoarea variabilei de la intrarea de informații D. Demultiplexorul implementează o funcție care este inversă funcției multiplexor. Este conceput pentru a împărți fluxul de date al unei surse de informații în mai multe canale de ieșire.

Tabelul de funcționare al demultiplexorului (Tabelul 2.12.2), care are 4 ieșiri de informații (Y0, Y1, Y2, Y3) și n = 2 intrări de adresă (A0, A1), este prezentat mai jos.

Tabelul 2.12.2

Introducerea informațiilor Adresa Puncte de informare
D A1 A0 Y0 Y1 Y2 Y3
D D
D D
D D
D D

Ecuații care descriu funcționarea demultiplexorului:

Y0 = D A1* A0*; Y1 = D A1*A0; Y2 = A1 A0*; Y3 = A1 A0.

Schema demultiplexorului construit după aceste ecuații și reprezentarea lui grafică sunt prezentate în fig. 2.12.5.

Orez. 2.12.5. Schema demultiplexorului „1-4” și imaginea sa condiționată

Funcția demultiplexor este ușor de implementat folosind un decodor, dacă intrarea sa „Activare” - E este utilizată ca intrare de informații a demultiplexorului, iar intrările 1, 2, 4 ... - ca intrări de adresă ale demultiplexorului A0, A1, A2 , ... Într-adevăr, cu valoarea activă a semnalului la intrarea E, se selectează ieșirea corespunzătoare codului aplicat intrărilor adresei. Prin urmare, circuitele integrate ale decodoarelor cu o intrare de activare sunt uneori numite nu doar decodoare, ci decodoare-demultiplexoare.

Termenul „multiplexare” se referă la procesul de transmitere a datelor din surse multiple pe un canal comun. Un multiplexor este utilizat ca dispozitiv care efectuează operația de reducere a datelor într-un singur canal pe partea de transmisie. Un astfel de dispozitiv este capabil să separe temporar semnalele care provin din mai multe surse și să le transmită pe canalul (linia) de comunicație unul după altul în conformitate cu schimbarea codurilor la intrările sale de adresă.

Pe partea de recepție, de obicei este necesară efectuarea operației inverse - demultiplexare, adică distribuția porțiunilor de date primite pe canalul de comunicare în momente succesive în timp, în funcție de receptorii acestora. Această operație este efectuată de demultiplexor. Partajare multiplexor și demultiplexor pentru a transfera date din 4 surse către

4 receptori pe o linie comună este ilustrat în fig. 2.12.6.

Orez. 2.12.6. Partajare multiplexer și demultiplexor pentru transmisia de date


Informații similare.


Lucrările de laborator se desfășoară folosind standul de laborator de pregătire LESO2.

1 Scopul muncii

Scopul lucrării este de a studia principiile de funcționare a circuitelor combinaționale: un decodor, un encoder, un convertor de cod pentru un indicator cu șapte segmente, un multiplexor, un adunator.

2 Scurte informații teoretice

2.1 Decodor (decodor)

Decodorul (decodorul) este folosit pentru a converti codul binar pozițional de n biți într-un singur semnal de ieșire pe una dintre cele 2n ieșiri. Pentru fiecare combinație de semnale de intrare, la una dintre ieșiri apare 1. Astfel, un singur semnal la una dintre ieșiri poate fi folosit pentru a judeca cuvântul de cod de intrare. Tabelul de adevăr pentru un decodor cu două intrări este prezentat în Tabelul 2.1.

Tabelul 2.1 - Tabelul de adevăr al unui decodor pe doi biți

x1 x2 y0 y1 y2 y3
0 0 1 0 0 0
0 1 0 1 0 0
1 0 0 0 1 0
1 1 0 0 0 1

Pentru a construi un circuit decodor conform tabelului de adevăr, vom folosi metodologia descrisă în lucrarea de laborator nr.1, efectuată pe standul LESO2. De exemplu, dispozitivul trebuie să aibă 4 ieșiri. Pentru fiecare ieșire, scriem o expresie logică. Pe baza SDNF:

y0 = x1 x2

y1 = x1 x2

y2 = x1 x2

Conform acestui sistem de expresii, este ușor să construiți un circuit al decodorului necesar (Figura 2.1).

Figura 2.1 - Schema decodorului

Denumirea grafică convențională a unui astfel de decodor este prezentată în Figura 2.2.

Figura 2.2 - Desemnarea grafică condiționată a decodorului

2.2 Encoder (encoder)

Codificatorul îndeplinește o funcție inversă față de decodor (decodor), adică convertește un cod binar nepozițional (unitar) de 2n biți într-un cod pozițional de n biți. Când un singur semnal este aplicat uneia dintre intrări, codul binar corespunzător este generat la ieșire. Să facem un tabel de adevăr al codificatorului pentru n = 2.

Tabelul 2.2 - Tabelul de adevăr al codificatorului pentru n = 2

x1 x2 x3 x4 y1 y0
1 0 0 0 0 0
0 1 0 0 0 1
0 0 1 0 1 0
0 0 0 1 1 1

Sintetizăm codificatorul. Pentru a face acest lucru, scriem sistemul propriilor funcții:

y1 = x1 x2 x3 x4 + x1 x2 x3 x4

y0 = x1 x2 x3 x4 + x1 x2 x3 x4

Figura 2.3 - Schema codificatorului Figura 2.4 - Desemnarea grafică condiționată a codificatorului

2.3 Convertor de cod pentru afișaj cu șapte segmente

Cele mai răspândite convertoare de cod sunt cunoscute în legătură cu indicatorii digitali. De exemplu, un convertor al unui cod binar pozițional de 4 biți în cifre zecimale. Există un indicator cu șapte segmente și cu ajutorul acestuia este necesar să evidențieze zece cifre.


Figura 2.5 - Indicator cu șapte segmente

Evident, codul binar trebuie să aibă cel puțin 4 biți (2^4 = 16, care este mai mult de 10). Să facem un tabel de adevăr pentru funcționarea unui astfel de convertor.

Tabelul 2.3 - Tabelul de adevăr al traductorului

Număr Cod binar 8-4-2-1 A b în G d e bine
0 0 0 0 0 1 1 1 1 1 1 0
1 0 0 0 1 0 1 1 0 0 0 0
2 0 0 1 0 1 1 0 1 1 0 1
3 0 0 1 1 1 1 1 1 0 0 1
4 0 1 0 0 0 1 1 0 0 1 1
5 0 1 0 1 1 0 1 1 0 1 1
6 0 1 1 0 1 0 1 1 1 1 1
7 0 1 1 1 1 1 1 0 0 0 0
8 1 0 0 0 1 1 1 1 1 1 1
9 1 0 0 1 1 1 1 1 0 1 1

Potrivit TI, este ușor să compuneți un sistem de funcții proprii pentru toate ieșirile, de ex. SDNF, minimizați-l și faceți o diagramă schematică.

Figura 2.6 - Desemnarea grafică simbolică a convertorului de cod

2.4 Multiplexor

Un multiplexor este un dispozitiv care vă permite să comutați una dintre cele 2^n intrări de informații X la o ieșire Y sub acțiunea n semnale de control (adresă). Pe imagine. 2.7 prezintă o diagramă funcțională simplificată a unui multiplexor pe chei electronice idealizate.

Figura 2.7 - Schema multiplexorului pe chei electronice idealizate

În circuitele digitale, este necesar să controlați cheile folosind niveluri logice. Prin urmare, este de dorit să alegeți un dispozitiv care ar putea îndeplini funcțiile unei chei electronice cu control digital al semnalului. Să încercăm să „forțăm” elementele logice deja familiare nouă să funcționeze ca o cheie electronică. Luați în considerare TI-ul elementului logic „ȘI”. În acest caz, una dintre intrările elementului logic „ȘI” va fi considerată ca o intrare de informație a cheii electronice, iar cealaltă intrare ca una de control. Deoarece ambele intrări ale porții ȘI sunt echivalente, nu contează care este intrarea de control. Fie X intrarea de control și Y intrarea informațiilor. Pentru simplitatea raționamentului, împărțim TI-ul în două părți, în funcție de nivelul semnalului logic la intrarea de control X.

Tabelul 2.4 - Tabelul de adevăr

y X Afară
0
0
0
1
0
0
1
1
0
1
0
1

Tabelul de adevăr arată clar că, dacă se aplică un nivel logic zero la intrarea de control X, semnalul aplicat la intrarea Y nu trece la ieșirea Out. Când o unitate logică este aplicată la intrarea de control X, semnalul de la intrarea Y apare la ieșirea Out. Aceasta înseamnă că elementul logic „ȘI” poate fi folosit ca cheie electronică. În acest caz, nu contează care dintre intrările elementului „ȘI” va fi folosită ca intrare de control și care - ca una de informare. Rămâne doar să combinați ieșirile elementelor „ȘI” într-o singură ieșire comună. Acest lucru se face folosind elementul logic „SAU” în același mod ca atunci când se construiește un circuit conform unui tabel de adevăr arbitrar. Versiunea rezultată a circuitului comutatorului cu control de nivel logic este prezentată în Figura 2.8.

Figura 2.8 - Schema schematică a multiplexorului, realizată pe elemente logice

În circuitele prezentate în figurile 2.7 și 2.8, puteți activa simultan mai multe intrări la o singură ieșire. Cu toate acestea, acest lucru duce de obicei la consecințe imprevizibile. În plus, sunt necesare multe intrări pentru a controla un astfel de comutator, astfel încât un decodor binar este de obicei inclus în multiplexor, așa cum se arată în Figura 2.9. Această schemă vă permite să controlați comutarea intrărilor de informații ale multiplexorului folosind coduri binare aplicate intrărilor sale de control. Numărul de intrări de informații în astfel de scheme este ales ca multiplu al puterii a doi.


Figura 2.9 - Schema schematică a unui multiplexor controlat binar

Denumirea grafică convențională a unui multiplexor cu 4 intrări cu control binar este prezentată în Figura 2.10. Intrările A0 și A1 sunt intrările de control ale multiplexorului, definind adresa semnalului de intrare a informațiilor care va fi conectat la pinul de ieșire al multiplexorului Y. Semnalele de intrare a informațiilor sunt etichetate: X0, X1, X2 și X3.

Figura 2.10 - Desemnarea grafică simbolică a unui multiplexor cu 4 intrări

În denumirea grafică convențională, denumirile intrărilor de informații A, B, C și D sunt înlocuite cu numele X0, X1, X2 și X3, iar numele ieșirii Out este înlocuit cu numele Y. Această denumire a intrările și ieșirile multiplexorului sunt mai frecvente în literatura internă. Intrările de adresă sunt etichetate A0 și A1.

Puteți citi despre caracteristicile implementării multiplexoarelor în limba Verilog în articol:
Arhitectura FPGA. Partea 2. Multiplexor

2.5 Sumator

Un sumator este un nod computer conceput pentru a adăuga numere binare. Construcția sumătorilor binari începe de obicei cu un sumator modulo 2.

Adder Modulo 2

Circuitul sumator modulo 2 este același cu circuitul XOR.

Tabelul 2.5 - Tabelul de adevăr al sumătorului Modulo 2

x1 x2 y
0 0 0
0 1 1
1 0 1
1 1 0

Expresie booleană care descrie sumatorul modulo 2:

y = x1 x2 + x1 x2

Figura 2.11 - Desemnarea grafică condiționată a sumatorului modulo 2

Pe baza ecuației logice care descrie acest element, puteți sintetiza un circuit:


Figura 2.12 - Schema sumatorului modulo 2

Adunatorul modulo 2 efectuează însumarea fără a ține cont de purtare. Într-un adunator binar convențional, trebuie luată în considerare o transportare, astfel încât circuitele sunt necesare pentru a forma o transportare la următorul bit. Tabelul de adevăr al unui astfel de circuit, numit semi-adunator, este prezentat în Tabelul 2.6.

Tabelul 2.6 - Tabelul de adevăr al semisumătorului

A B S P0
0 0 0 0
0 1 1 0
1 0 1 0
1 1 0 1

Aici AȘi B– termeni;
S- suma;
P0– transfer la bitul cel mai semnificativ (transfer output Pout).
Să notăm sistemul de funcții proprii pentru jumătatea sumatorului:

S = A B + A B
P0 = A B

Figura 2.13 - Diagrama schematică care implementează tabelul de adevăr al semisumătorului Figura 2.14 - Imaginea unei jumătăți de sumator pe diagrame

Adder complet.

Circuitul semi-adunator generează o transportare la bitul cel mai semnificativ, dar nu poate lua în considerare transportul de la bitul cel mai puțin semnificativ. Când adăugați numere binare cu mai multe cifre, este necesar să adăugați trei cifre în fiecare cifră - 2 termeni și o unitate de transport din bitul PI anterior.

PI A B S PO
0 0 0 0 0
0 0 1 1 0
0 1 0 1 0
0 1 1 0 1
1 0 0 1 0
1 0 1 0 1
1 1 0 0 1
1 1 1 1 1

PI– intrarea 1 transfer de la bitul anterior,
PO– ieșirea 1 duce la cel mai înalt nivel.

Pe baza tabelului de adevăr, scriem un sistem de funcții proprii pentru fiecare ieșire:

S = A B PI + A B PI + A B PI + A B PI

PO = A B PI + A B PI + A B PI + A B PI

Ca rezultat, obținem un circuit de adunare complet (Figura 2.15).

Figura 2.15 - Diagrama schematică care implementează tabelul de adevăr al unui sumator binar complet pe un singur bit

Figura 2.16 - Imaginea unui adunator binar cu o singură cifră pe diagrame

Teorie
Întrebări

3 Sarcina la lucru

3.1 Explorați cum funcționează decodorul 2 x 4

Configurați FPGA în conformitate cu Figura 3.1. Conectați comutatoarele S7 și S8 la intrările X0 și X1 și la ieșirile Y0, Y1, Y2, Y3 indicatoarele LED LED5, LED6, LED7, LED8. Pentru a face acest lucru, conectați intrările și ieșirile decodorului la picioarele FPGA corespunzătoare.

Figura 3.1 - Schema decodorului

Aplicând toate combinațiile posibile de niveluri logice la intrările X0, X1 folosind tastele S7, S8 și observând stările indicatoarelor LED LED5, LED6, LED7, LED8, completați tabelul de adevăr al decodorului.

Tabel 3.1 - Tabel decodor

x1 x2 y0 y1 y2 y3
0 0
0 1
1 0
1 1

3.2 Explorați principiul de funcționare al codificatorului 4x2
Configurați FPGA conform Figura 3.2.


Figura 3.2 - Schema codificatorului 4x2

Conectați comutatoarele S8, S7, S6, S5 la intrările X1, X2, X3, X4 și indicatoarele LED LED8, LED7 la ieșirile Y0, Y1. Pentru a face acest lucru, conectați intrările și ieșirile decodorului la picioarele FPGA corespunzătoare. Trimiterea tuturor combinațiilor posibile de niveluri logice la intrările X1, X2, X3, X4 folosind tastele S8, S7, S6, S5 și observând stările indicatoarelor LED LED7, LED8, completați tabelul de adevăr al codificatorului.

Tabelul 3.2 - Tabelul de adevăr al codificatorului

x1 x2 x3 x4 y1 y0
1 0 0 0
0 1 0 0
0 0 1 0
0 0 0 1

3.3 Investigați funcționarea convertorului de cod pentru un indicator cu șapte segmente.

Faceți un tabel de adevăr al convertorului de cod (tabelul. 3.3).
Asamblați circuitul prezentat în Figura 3.3.

Tabelul 3.3 - Tabelul de adevăr al traductorului

x3 x2 x1 x0 A B C D E F G
0 0 0 0
0 0 0 1
0 0 1 0
0 0 1 1
0 1 0 0
0 1 0 1
0 1 1 0
0 1 1 1
1 0 0 0
1 0 0 1

Figura 3.3 - Schema convertorului de cod pentru un indicator cu șapte segmente

Cu ajutorul tastelor S8, S7, S6, S5, diferite combinații de coduri la intrările X0, X1, X2, X3 determină numerele afișate pe indicator. Pe baza rezultatelor experimentului, completați Tabelul 3.4.

Tabel 3.4 - Tabel care descrie funcționarea convertorului de cod pentru un indicator cu șapte segmente

x3 x2 x1 x0 Citirea indicatorului
0 0 0 0
0 0 0 1
0 0 1 0
0 0 1 1
0 1 0 0
0 1 0 1
0 1 1 0
0 1 1 1
1 0 0 0
1 0 0 1

3.4 Explorați funcționarea multiplexorului 4x1

Configurați FPGA în conformitate cu Figura 3.4.


Figura 3.4 - Diagrama unui multiplexor 4x1

Setând alternativ toate combinațiile de coduri posibile pe intrările de adresă A și B, determinați numărul de canale comutate. Numărul canalului comutat este determinat prin conectarea secvenţială la intrările X0, X2, X3, X4 ale nivelului unităţii logice şi monitorizarea ieşirii Y. Completaţi Tabelul 3.5.

Tabel 3.5 - Tabel care descrie funcționarea multiplexorului

3.5 Explorați circuitul sumator

Configurați FPGA conform Figura 3.5. Aici Pin, Put intrarea și respectiv ieșirea unității de transfer, AȘi B- termeni, S- suma.


Figura 3.5 - Schema sumatorului

Completați tabelul de adevăr al sumatorului (tabelul 3.6).

Tabelul 2.7 - Tabelul de adevăr complet al sumătorului

Pin B A Put
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1
  1. Obiectiv.
  2. Scheme de studiere a decodorului, codificatorului, convertorului de cod pentru un indicator cu șapte segmente, multiplexor, sumator.
  3. Tabele de adevăr pentru fiecare circuit.
  4. Concluzii pentru fiecare sarcină.

5 Întrebări de securitate

  1. Cum funcționează un decodor?
  2. Cum să sintetizezi un decodor cu o adâncime de biți arbitrară?
  3. Cum funcționează codificatorul?
  4. Cum funcționează convertorul de cod pentru un indicator cu șapte segmente?
  5. Cum este aranjat indicatorul cu șapte segmente?
  6. Cum funcționează un multiplexor?
  7. Cum a fost cercetat multiplexorul în laborator?
  8. Cum funcționează sumatorul?
  9. Desenați tabelul de adevăr al codificatorului.
  10. Ce este o unitate de transfer?
Când trebuie să conectați un număr mare de LED-uri și nu doriți să cheltuiți deloc pinii prețioși ai microcontrolerului (sau cel mai adesea pur și simplu nu există un astfel de număr de picioare), microcircuitele decodorului pot veni în ajutor. De exemplu, decodorul 74HC154 (analogicul nostru K1533ID3), care setează o anumită ieșire la starea activă în funcție de numărul de 4 biți de la intrare.

Tabelul de adevăr care descrie logica de funcționare este prezentat mai jos.

L-nivel scăzut

H-nivel înalt

X este scăzut sau ridicat, nu contează

Dacă stai și bătuți timp de un minut, atunci puteți înțelege că nu este nimic complicat aici =) Este demn de remarcat faptul că nivelul activ la ieșire este scăzut (scăzut). Pe lângă intrări și ieșiri, există două ieșiri de activare permite incluse de logic ŞI. Decodorul își va îndeplini funcția numai atunci când ambele picioare sunt pe pământ.

IMHO, singurul dezavantaj este că la un moment dat doar una dintre ieșiri poate avea un nivel activ. Prin urmare, dacă trebuie să activați mai multe ieșiri în același timp, va trebui să atașați controlul dinamic.

$regfile = "attiny13.dat"
$cristal = 1000000

Config Portb = Ieșire

Portb = &B00001111
Asteapta 100
Portb = &B00001110
Asteapta 100
Portb = &B00001101
Asteapta 100
Portb = &B00001100
Asteapta 100
Portb = &B00001011
Asteapta 100
Portb = &B00001010
Asteapta 100
Portb = &B00001001
Asteapta 100
Portb = &B00001000
Asteapta 100
Portb = &B00000111
Asteapta 100
Portb = &B00000110
Asteapta 100
Portb = &B00000101
Asteapta 100
Portb = &B00000100
Asteapta 100
Portb = &B00000011
Asteapta 100
Portb = &B00000010
Asteapta 100
Portb = &B00000001
Asteapta 100
Portb = &B00000000
asteapta 100

buclă

Iată ce se întâmplă până la urmă:

Pe baza decodorului, puteți construi și un expandator de tastatură, și astfel puneți la dispoziție 16 butoane care ocupă 5 pini ai microcontrolerului. De exemplu, aici este un exemplu simplu pentru attiny2313:

În bucla principală, un program este executat, de exemplu, clipirea unui LED. Când cronometrul depășește, are loc o întrerupere, tastatura este scanată în gestionarea întreruperilor și, dacă a fost apăsat un buton, va apărea un nivel scăzut pe piciorul PortB.7. După ce ați aflat în ce moment a fost apăsat, puteți afla numărul butonului apăsat. Vom trimite acest număr prin UART:

$regfile = "attiny2313.dat"
$cristal = 1000000
$baud = 1200

Dim M ca octet
Dim N ca octet

Config Portb = Ieșire
Config Portb.7 = Intrare
Config Portd.2 = Ieșire
Config Timer1 = Timer , Prescale = 8 „Depășirea temporizatorului la fiecare 0,5 secunde
Tastatura Timer1:
Activați întreruperi
Activați Timer1

Do „***executarea programului principal***

Portd.2 = 1
Așteaptă 1
Portd.2 = 0
Așteaptă 1
buclă
Sfârșit

Tastatură:
Pentru M = 0 până la 15 Pasul 1
Portb=M
Dacă Pinb.7 = 0 Atunci „dacă a fost apăsat butonul
N=M „Uite în ce moment a fost apăsat
M=0
Tipăriți N „Imprimați numărul butonului
întoarcere și ieși din buclă
Încheiați dacă „dacă nu a existat niciun clic, continuați scanarea până la sfârșit
Asteapta 10
Urmează M
întoarcere „Reveniți la bucla principală a programului

Decodorele vă permit să convertiți un tip de cod binar în altul. De exemplu, convertiți codul binar pozițional în octal liniar sau hexazecimal. Transformarea se realizează conform regulilor descrise în tabelele de adevăr, astfel încât construcția decodoarelor nu este dificilă. Pentru a construi un decodor, puteți folosi regulile.

Decodor zecimal

Luați în considerare un exemplu de dezvoltare a unui circuit decodor de la binar la zecimal. Codul zecimal este de obicei afișat ca un bit pe cifră zecimală. Există zece cifre în codul zecimal, așa că sunt necesare zece ieșiri de decodor pentru a afișa o zecimală. Semnalul din aceste concluzii poate fi aplicat la. În cel mai simplu caz, puteți semna pur și simplu cifra afișată deasupra LED-ului.Tabelul de adevăr al decodorului zecimal este prezentat în Tabelul 1.

Tabelul 1. Tabelul de adevăr al decodorului zecimal.

Intrăriiesirile
8 4 2 1 0 1 2 3 4 5 6 7 8 9
0 0 0 0 1 0 0 0 0 0 0 0 0 0
0 0 0 1 0 1 0 0 0 0 0 0 0 0
0 0 1 0 0 0 1 0 0 0 0 0 0 0
0 0 1 1 0 0 0 1 0 0 0 0 0 0
0 1 0 0 0 0 0 0 1 0 0 0 0 0
0 1 0 1 0 0 0 0 0 1 0 0 0 0
0 1 1 0 0 0 0 0 0 0 1 0 0 0
0 1 1 1 0 0 0 0 0 0 0 1 0 0
1 0 0 0 0 0 0 0 0 0 0 0 1 0
1 0 0 1 0 0 0 0 0 0 0 0 0 1

Microcircuitele decodorului de pe diagramele de circuit sunt prezentate în Figura 2. Această figură arată denumirea unui decodor BCD, a cărui diagramă completă a circuitului intern este prezentată în Figura 1.


Figura 2. Desemnarea grafică convențională a unui decodor binar-zecimal

Exact în același mod, puteți obține o schemă de circuit pentru orice alt decodor (decodor). Cele mai comune scheme sunt decodificatoarele octale și hexazecimale. Pentru indicație, astfel de decodoare practic nu sunt utilizate în prezent. Practic, astfel de decodoare sunt folosite ca parte integrantă a modulelor digitale mai complexe.

Decodor cu șapte segmente

Adesea folosit pentru a afișa cifre zecimale și hexazecimale. Imaginea unui indicator cu șapte segmente și numele segmentelor acestuia sunt prezentate în Figura 3.


Figura 3. Imaginea unui indicator cu șapte segmente și numele segmentelor acestuia

Pentru a afișa numărul 0 pe un astfel de indicator, este suficient să iluminați segmentele a, b, c, d, e, f. Pentru imaginea numărului „1”, segmentele b și c sunt aprinse. În același mod, puteți obține imagini cu toate celelalte cifre zecimale sau hexazecimale. Toate combinațiile de astfel de imagini sunt numite codul cu șapte segmente.

Să facem un tabel de adevăr al decodorului, care ne va permite să convertim codul binar într-unul cu șapte segmente. Lăsați segmentele să fie aprinse de potențial zero. Apoi tabelul de adevăr al decodorului cu șapte segmente va lua forma prezentată în Tabelul 2. Valoarea specifică a semnalelor la ieșirea decodorului depinde de ieșirea microcircuitului. Aceste scheme vor fi discutate mai târziu în capitolul despre afișarea diferitelor tipuri de informații.

Tabelul 2. Tabelul de adevăr al decodorului cu șapte segmente

Intrări iesirile
8 4 2 1 A b c d e f g
0 0 0 0 0 0 0 0 0 0 1
0 0 0 1 1 0 0 1 1 1 1
0 0 1 0 0 0 1 0 0 1 0
0 0 1 1 0 0 0 0 1 1 0
0 1 0 0 1 0 0 1 1 0 0
0 1 0 1 0 1 0 0 1 0 0
0 1 1 0 0 1 0 0 0 0 0
0 1 1 1 0 0 0 1 1 1 1
1 0 0 0 0 0 0 0 0 0 0
1 0 0 1 0 0 0 0 1 0 0

În conformitate cu principiile construirii unui tabel de adevăr arbitrar pentru un tabel de adevăr arbitrar, obținem o diagramă schematică a unui decodor cu șapte segmente care implementează tabelul de adevăr din tabelul 2. De data aceasta nu vom descrie în detaliu procesul de dezvoltare circuitul. Schema de circuit rezultată a decodorului cu șapte segmente este prezentată în Figura 4.

Scramblere/Decodificatoare

Codificatoare.

Un encoder (numit și codificator) este un dispozitiv care convertește numere zecimale într-un sistem de numere binar. Fie ca encoderul să aibă m intrări numerotate secvenţial cu numere zecimale (0, 1, 2, 3, ..., m - 1) şi n ieşiri. Aplicarea unui semnal la una dintre intrări are ca rezultat un număr binar de n biți care apare la ieșiri, corespunzător numărului intrării excitate.

fig 5.17

fig 5.18

Este evident dificil să construiți scramblere cu un număr foarte mare de intrări m, așa că sunt folosite pentru a converti numere zecimale relativ mici în binare. Conversia numerelor zecimale mari se realizează prin metodele prezentate în cartea de referință „Sisteme numerice”

Codificatoarele sunt utilizate pe scară largă într-o varietate de dispozitive de intrare din sistemele digitale. Astfel de dispozitive pot fi prevăzute cu o tastatură, fiecare tastă fiind asociată cu o intrare specifică a codificatorului. Când tasta selectată este apăsată, un semnal este trimis la o anumită intrare a codificatorului, iar la ieșirea acestuia apare un număr binar, corespunzător simbolului gravat pe cheie.

Tabelul 5.5

Zecimal
număr

Cod binar 8421

x 8

x4

x2

x 1

Tabelul 5.6

Cod de intrare 8421

Număr
Ieșire

x 8

x4

x2

x 1

Pe fig. Figura 5.17 prezintă o imagine simbolică a unui codificator care convertește numerele zecimale 0, 1, 2, ..., 9 în reprezentare binară în codul 8421. Simbolul CD este format din literele incluse în cuvântul englezesc CODER. În stânga sunt afișate 10 intrări, indicate prin cifre zecimale 0, 1, ..., 9. În dreapta sunt afișate ieșirile encoderului: numerele 1, 2, 4, 8 indică coeficienții de ponderare ai binarului cifre corespunzătoare ieșirilor individuale.

De la masă. 5.5 din corespondența dintre codurile zecimal și binar, rezultă că variabila x 1 de pe magistrala de ieșire 1 are un nivel de log. 1 dacă una dintre variabilele de intrare y 1 , y 3 , y 5 , y 7 , y 9 are acest nivel. Prin urmare, x 1 = y l / y 3 / y 5 / y 7 / y 9 .

Pentru alte ieșiri x 2 = y 2 / y 3 / y 6 / y 7 ; x 4 \u003d y 4 / y 5 / y 6 / y 7; x 8 = y 8 / y 9 .

Acest sistem de expresii logice corespunde diagramei din Fig. 5.18, a. Pe fig. 5.18b prezintă un circuit codificator bazat pe elemente SAU-NU.

Codificatorul este construit în conformitate cu următoarele expresii:

În acest caz, codificatorul are ieșiri inverse.


Când executați un codificator pe elemente AND-NOT, trebuie utilizat următorul sistem de expresii logice:

În acest caz, se asigură furnizarea de valori inverse la intrări, adică pentru a obține o reprezentare binară a unei anumite cifre zecimale la ieșire, este necesar să se aplice un log la intrarea corespunzătoare. 0, iar restul intrărilor - log.1. Circuitul codificatorului, realizat pe elementele AND-NOT, este prezentat în fig. 5.18, c.

Folosind metoda de mai sus, pot fi construite codificatoare care convertesc numerele zecimale în reprezentare binară folosind orice cod binar,

Decodoare.

Decodoarele (numite și decodoare) sunt folosite pentru a converti numerele binare înapoi în numere zecimale mici. Intrările decodorului sunt destinate să furnizeze numere binare, ieșirile sunt numerotate secvenţial în numere zecimale. Când un număr binar este aplicat intrărilor, la o anumită ieșire apare un semnal, al cărui număr corespunde numărului de intrare.

Decodorele au o gamă largă de aplicații. În special, acestea sunt utilizate în dispozitivele care imprimă numere sau text de la un dispozitiv digital pe hârtie. În astfel de dispozitive, un număr binar, care intră în intrarea decodorului, face ca un semnal să apară la o anumită ieșire. Acest semnal tipărește caracterul corespunzător numărului binar de intrare.

Pe fig. 5.19,a prezintă o imagine simbolică a decodorului. Simbolul DC este format din literele cuvântului englezesc DECODER. În stânga sunt afișate intrările, pe care sunt marcate ponderile codului binar. În dreapta sunt ieșirile numerotate în numere zecimale corespunzătoare combinațiilor individuale ale codului binar de intrare. Un nivel de jurnal este generat la fiecare ieșire. 1 cu o combinație strict definită a codului de intrare.

Decodorul poate avea intrări în două faze pentru a alimenta împreună cu variabilele de intrare ale inversiilor lor, așa cum se arată în fig. 5.19b.

După metoda de construcție, se disting decodorele liniare și dreptunghiulare.

Decodor liniar.

Luați în considerare construcția unui decodor care realizează transformarea dată în tabel. 5.6.


(5.22)

(5.23)

Valorile variabilelor de ieșire sunt determinate de următoarele expresii logice:

Într-un decodor liniar, variabilele de ieșire sunt formate conform (5.22) sau (5.23). La executarea decodorului pe elementele AND-NOT, (5.23) este folosită pentru a obține inversiuni ale funcțiilor de ieșire. În acest caz, fiecare combinație a codului de intrare va corespunde nivelului de jurnal. 0 pe o ieșire strict definită, nivelul jurnalului este setat pe ieșirile rămase. 1. În fig. 5.20 prezintă structura decodorului, construită pe elementele AND-NOT, și imaginea acestuia în diagrame. Structura are caracteristici tipice pentru decodoarele integrate:

pentru a reduce numărul de intrări, formarea inversiilor variabilelor de intrare se realizează chiar în decodor;

fig 5.20

fig 5.21

invertoarele suplimentare conectate direct la intrări reduc sarcina de la decodor pe circuitele sale de intrare.

Un decodor cu 16 ieșiri pentru decodarea tuturor combinațiilor posibile ale codului binar de 4 biți 8421 poate fi construit din cele două decodoare considerate cu 10 ieșiri. Pe fig. 5.21 arată structura unui astfel de decodor. Fiecare dintre decodoare folosește 8 ieșiri, care formează cele 16 ieșiri necesare (y 0 , y 1 , ..., y 15).


fig 5.22

Decodor dreptunghiular.

Luați în considerare principiul construirii unui decodor dreptunghiular folosind exemplul unui decodor cu 4 intrări și 16 ieșiri.

Să împărțim variabilele de intrare x 8 , x 4 , x 2 , x 1 în două grupuri de două variabile fiecare: x 8 , x 4 și x 2 , x 1 . Folosim fiecare pereche de variabile ca variabile de intrare ale unui decodor liniar separat cu patru ieșiri, așa cum se arată în Fig. 5.22 a. Variabilele de ieșire ale decodoarelor liniare sunt definite de următoarele expresii logice:

Aceste decodoare îndeplinesc funcțiile primei etape a decodorului.

Variabilele de ieșire y 0 , y 1 , ..., y 15 ale unui decodor dreptunghiular pot fi reprezentate prin expresii logice folosind variabilele de ieșire y" 0 , ..., y" 3 și y"" 0 , ..., y"" 3 decodoare liniare:

Aceste operații logice sunt efectuate într-un decodor separat de a doua etapă, numit decodor matrice, care constă din două elemente de intrare. Pe fig. 5.22b prezintă o imagine condiționată a unui decodor matrice, în care două grupuri de intrări marcate cu numere zecimale sunt utilizate pentru a conecta la ieșirile a două etape preliminare de decodificare. Pe fig. 5.22c prezintă structura unui decodor dreptunghiular folosind simbolurile decodificatoarelor liniare și matriceale.

Pot fi construite decodoare dreptunghiulare cu mai mult de două trepte.

Utilizarea unui decodor dreptunghiular poate fi mai avantajoasă decât utilizarea unui decodor liniar în cazurile în care numărul de intrări este mare și nu este de dorit să se utilizeze elementele necesare pentru a construi un decodor liniar cu un număr mare de intrări. Cu toate acestea, trecerea semnalelor secvenţial prin mai multe etape într-un decodor dreptunghiular duce la o întârziere mai mare în propagarea semnalului în acesta.

Tabelul 5.7

Cod 8421

Cod 2421

x4

x 3

x2

x 1

y 4

y 3

y2

y 1

Convertoare de coduri

În dispozitivele digitale, adesea devine necesară convertirea informațiilor numerice dintr-un sistem binar în altul (de la un cod binar la altul). Un exemplu de astfel de conversie este conversia numerelor din codul binar 8421, în care se efectuează operații aritmetice, în codul binar 2 din 5 pentru transmisie prin linia de comunicație. Această sarcină este efectuată de dispozitive numite convertoare de cod. Există două metode de a converti codurile:

bazat pe conversia codului binar original în zecimal și apoi conversia reprezentării zecimale în codul binar necesar;

bazat pe utilizarea unui dispozitiv logic de tip combinațional care implementează direct această transformare.

Prima metodă este implementată structural prin conectarea unui decodor și a unui encoder și este convenabilă în cazurile în care pot fi utilizate decodoare standard și codificatoare în design integrat.

Să luăm în considerare a doua metodă mai detaliat pe exemple specifice de conversie a codului binar.

transformare cod 8421 în cod 2421.

Să notăm variabilele corespunzătoare cifrelor individuale ale codului 8421, x 4 , x 3 , x 2 , x 1 , la fel pentru codul 2421 y 4 , y 3 , y 2 , y 1 . În tabel. 5.7 arată corespondența combinațiilor ambelor coduri.

Fiecare dintre variabilele y 4 , y 3 , y 2 , y 1 poate fi considerată o funcție a argumentelor x 4 , x 3 , x 2 , x 1 și, prin urmare, poate fi reprezentată prin aceste argumente prin expresia logică corespunzătoare. Pentru a obține expresiile logice indicate, să reprezentăm variabilele y 4 , y 3 , y 2 , y 1 cu tabele de adevăr sub forma tabelului lui Veitch (Figura 5.24.1).


fig 5.23

fig 5.24


fig 5.24.1

Să obținem forma minimă a expresiilor logice reprezentate prin operațiile AND, OR, NOT și prin operația AND-NOT:


Pe fig. 5.23 prezintă structura logică a convertorului de cod, construită pe elementele SI-NU folosind expresiile logice obținute.

transformare cod 2421 în cod 8421.

Pentru a implementa această transformare (invers celei discutate mai sus), este necesar să se obțină expresii logice pentru variabilele x 4 , x 3 , x 2 , x 1 folosind ca argumente variabilele y 4 , y 3 , y 2 , y 1 .


fig 5.24.2

Tabelele lui Veitch pentru variabilele x 4 , x 3 , x 2 , x 1 sunt prezentate în fig. 5.24.2. Expresii booleene pentru variabile x 4 , x 3 , x 2 , x 1:

Structura logică a convertorului este prezentată în fig. 5.24.

Convertor pentru indicație digitală.

O modalitate de indicare digitală este următoarea.

Tabelul 5.10

Zecimal
număr

Cod binar 8421

Starea elementelor (z 1 , ..., z 7) și
valoarea de a gestiona
semnale (y 1 , ..., y 7)

x4

x 3

x2

x 1

1

2

3

4

5

6

7

y 1

y2

y 3

y 4

y 5

y 6

y 7

Există șapte elemente aranjate așa cum se arată în Fig. 5,25 a. Fiecare element poate străluci sau nu, în funcție de valoarea variabilei booleene corespunzătoare care îi controlează strălucirea. Făcând ca elementele să strălucească în anumite combinații, puteți obține o imagine a cifrelor zecimale 0, 1, 9 (Fig. 5.25.b).

Cifrele zecimale care trebuie afișate sunt de obicei specificate în cod binar. Aceasta ridică problema generării variabilelor logice y 1 , y 2 , ..., y 7 pentru a controla elemente individuale în dispozitivul de afișare. Tabelul de adevăr pentru aceste variabile este prezentat în Tabelul 5.10.

fig 5.25

La construirea tabelului, au fost acceptate următoarele condiții: dacă elementul indicator este aprins, înseamnă că este în stare de jurnal. 1, dacă este stins, atunci este în stare de jurnal. 0; elementul este controlat în așa fel încât nivelul ridicat al bușteanului. 1 la o anumită intrare a indicatorului determină stingerea elementului corespunzător (adică, pentru ca elementul i-a să fie stins și zi = 0, este necesar să se trimită un semnal de control yi = l la prima intrare a indicator). Astfel, y i = i . De exemplu, pentru a evidenția numărul 0, este necesar să se stingă al 7-lea element (z 7 =0), lăsând elementele rămase în starea de strălucire; prin urmare, în timp ce semnalul de control y 7 = l, semnalele de control rămase y l , ..., y 6 trebuie să aibă un nivel log. 0.

fig 5.26

Formarea semnalelor de control este efectuată de un dispozitiv logic, pentru a cărui sinteză în Fig. 5.26, tabele de adevăr sunt construite sub formă de tabele Veitch separat pentru fiecare variabilă y l , ..., y 7 . Dispozitivul sintetizat este un dispozitiv cu mai multe ieșiri, iar pentru a obține circuitul minim, este necesar să se construiască numărul minim de zone din tabelele Veitch care asigură acoperirea celulelor care conțin 1 în toate cele șapte tabele. Construcția acestor regiuni are următoarele caracteristici. În tabelele variabilelor y 5 și y 6 folosiți zonele 1 și V, care sunt folosite în tabelele altor variabile. Dacă în locul acestor zone din tabelele variabilelor y 5 și y 6 se construiesc zone cu o acoperire mare de celule, acest lucru va determina o creștere a numărului total de zone și, în consecință, a numărului de elemente logice necesare pentru formarea corespunzătoare. expresiile logice vor crește. Zonele selectate corespund următoarelor expresii logice:


Acum este ușor să scrieți expresii logice pentru valorile de ieșire y l , ..., y 7:


Circuitul convertor construit în conformitate cu aceste expresii este prezentat în fig. 5.25, în.

Tabelul 5.12

Tipul elementului logic

Numărul de elemente din pachetul de cipuri

Numărul de elemente din convertor

Numărul pachetelor de cipuri

invertoare

Elemente NAND cu două intrări

Elemente NAND cu trei intrări

Elemente NAND cu patru intrări

Numărul total de pachete de cipuri

5 5 / 12

Să determinăm numărul de cipuri necesare pentru a construi convertorul. În acest caz, trebuie avut în vedere faptul că pachetul de microcircuite produs de industrie poate conține mai multe elemente logice. În tabel. 12 arată calculul numărului de pachete de microcircuite.

Top articole similare